CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog image

搜索资源列表

  1. cpld_camera300

    0下载:
  2. 用verilog语言开发的OV2640图像转换代码,使得能与SSD显示器兼容。-With verilog language development OV2640 image conversion code, makes it possible to monitor compatible with the SSD.
  3. 所属分类:Special Effects

    • 发布日期:2017-11-05
    • 文件大小:1200
    • 提供者:kehaiying
  1. Midian_fpga

    2下载:
  2. 图像处理中用到的中值滤波,FPGA实现。verilog语言。-Used in image processing median filter, FPGA implementation. verilog language.
  3. 所属分类:并行运算

    • 发布日期:2017-03-02
    • 文件大小:8892115
    • 提供者:shiyuan
  1. VGA_interface

    0下载:
  2. 采用FPGA控制VGA的借口,采用Verilog编写,Quartus II编译,恰当配置后开发板可以与显示器相连显示图像-Using FPGA to control VGA excuse, Verilog prepared, Quartus II compilation, the proper development board can be configured to display an image attached to the monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-22
    • 文件大小:436168
    • 提供者:Eason
  1. fht_latest.tar

    0下载:
  2. FAST HADAMARD TRANSFORM VERILOG FOR IMAGE PROCESSING
  3. 所属分类:Development Research

    • 发布日期:2017-04-10
    • 文件大小:1044
    • 提供者:ANNIYAN
  1. vga

    0下载:
  2. verilog语言编写的VGA图像显示,此模块可以直接使用,可以帮助你很好地掌握VGA的驱动-Verilog language VGA image display, the module can be used directly, can help you have a good grasp of the VGA driver
  3. 所属分类:Picture Viewer

    • 发布日期:2017-03-31
    • 文件大小:2074
    • 提供者:wangjianfei
  1. Canny

    0下载:
  2. 首先利用C实现了一个图像边缘提取的算法,然后利用vivado高层次综合,将其综合为verilog代码。-First, the use of C implements a image edge extraction algorithm, then use vivado high-level synthesis, as its comprehensive verilog code.
  3. 所属分类:Other systems

    • 发布日期:2017-05-23
    • 文件大小:7255285
    • 提供者:yuandb
  1. dct2d

    0下载:
  2. this program is written in verilog compute the dct transform of an image used for jpeg and other image compression methods-this program is written in verilog compute the dct transform of an image used for jpeg and other image compression methods
  3. 所属分类:Compress-Decompress algrithms

    • 发布日期:2016-06-14
    • 文件大小:4096
    • 提供者:mary
  1. key_duli

    0下载:
  2. FPGA实现verilog语言的按键防抖功能,能够很有效的实现了按键的加减数据的功能,通过一位数码管显示。-FPGA implementation verilog language button image stabilization feature, can be very effective to achieve the subtraction key data features, through a digital display.
  3. 所属分类:software engineering

    • 发布日期:2017-05-09
    • 文件大小:1785918
    • 提供者:席旭雷
  1. Puzzle

    3下载:
  2. 一个用verilog编写的VGA显示拼图游戏,本程序基于Xilinx的Basys2开发板,图像存储于ROM中-A VGA display jigsaw puzzle with verilog written, the program is based on the Basys2 Xilinx development boards, the image is stored in ROM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:11915264
    • 提供者:Zic
  1. jpeg_encoder

    0下载:
  2. JPEG 编码器IP核,用verilog语言编写,不支持二级采样。-JPEG Encoder IP Core,The core is written in Verilog and is designed to be portable to any target device. This core does not perform subsampling- the resulting JPEG image will have 4:4:4 subsampling
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:179185
    • 提供者:jwchen
  1. FPGAPCMOS

    0下载:
  2. 图像实时采集原理及程序,详细的verilog代码-Real-time image acquisition principles and procedures
  3. 所属分类:Picture Viewer

    • 发布日期:2017-03-28
    • 文件大小:219384
    • 提供者:雨茗
  1. PICTURE

    1下载:
  2. 图像的二值化处理,使用lena图像,使用verilog语言,代码有详细的说明,适合verilog学习-Binary image processing, the use of lena image, using verilog language, code has detailed instructions for learning verilog
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-02
    • 文件大小:720511
    • 提供者:kiki
  1. grayscale

    0下载:
  2. 灰階(gray-scale)圖像處理(60*60 pixel)controller控制各個程式的地址以及開關,input_mem將資料讀進記憶體,grayscale將讀取資料像素的亮度以數值來表示,將24bit的 像素化成四個8bit的值輸出。接著進入sobel,在此將前面的四個值乘上1或-1個別的相加,得出新的四個值,輸入進shiftcase進行threshold的判斷,大於threshold則表現出白色(255),小於threshold則表現出黑色(0),最後將結果存入記憶體out_mem。
  3. 所属分类:Special Effects

    • 发布日期:2017-04-25
    • 文件大小:76563
    • 提供者:sara kuo
  1. revolve

    0下载:
  2. 实现将图像顺时针旋转90度的verilog代码,代码简洁易懂-Implementation will rotate the image 90 degrees clockwise verilog code, the code is easy to read
  3. 所属分类:Special Effects

    • 发布日期:2017-04-16
    • 文件大小:19487
    • 提供者:cln
  1. vga

    0下载:
  2. 用verilog设计控制程序从 ROM模块读取图片信息,然后写入 VGA接口。控制程序每隔250ms写入不同的信息至VGA接口,在屏幕上会出现小绿人的动画。-Reading the image information from the ROM module verilog design control procedures, and then write the VGA connector. Control program every 250ms write different messages
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3833851
    • 提供者:xutao
  1. vga789

    0下载:
  2. 这是一个Verilog的文件。可以实现在液晶显示屏山显示一副图像。-This is a Verilog file. Can display an image on the LCD Hill.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5323644
    • 提供者:lulei
  1. TFTinittest_ovt

    0下载:
  2. FPGA用Verilog编写的摄像机。有OV7670摄像头驱动,图像经FPGA处理后送到TFT显示。-The FPGA using Verilog write camera. Have OV7670 camera driver, image by FPGA to TFT display.
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:81978
    • 提供者:尹仁超
  1. sobel

    0下载:
  2. 在FPGA中,采用verilog HDL语言实现图像处理算法sobel,仿真实验通过-In the FPGA using verilog HDL language image processing algorithms sobel, simulation experiment
  3. 所属分类:Graph program

    • 发布日期:2017-05-22
    • 文件大小:6255144
    • 提供者:zhouhui
  1. OV7670_VGA---gray

    0下载:
  2. 灰度显示VGA图像,基于verilog语言编写,黑金开发板-Grayscale display VGA image, based on verilog language, black gold development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8067860
    • 提供者:quanru
  1. OV7670_VGA---gray---binary

    0下载:
  2. 二值显示VGA图像,基于verilog语言编写,黑金开发板-VGA display binary image, based on verilog written language, black gold development board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:8074088
    • 提供者:quanru
« 1 2 3 4 5 67 8 »
搜珍网 www.dssz.com